奥鹏易百

 找回密码
 立即注册

扫一扫,访问微社区

QQ登录

只需一步,快速开始

帮助中心知识拓展客服QQ 515224986
查看: 392|回复: 0

电子科技大学18秋《EDA技术》在线作业3资料

[复制链接]

1万

主题

2

回帖

2万

积分

论坛元老

积分
29240
发表于 2018-9-28 11:42:49 | 显示全部楼层 |阅读模式
扫码加微信
18秋《EDA技术》在线作业3

电科大作业答案

一、单选题:
1. 下面哪种语句不是并行语句
    A. wait语句
    B. process语句
    C. 块语句
    D. 生成语句
    正确答案:——A——
2. VHDL程序基本结构包括
    A. 实体、子程序、配置
    B. 实体、结构体、配置、函数    奥鹏答案

    C. 结构体、状态机、程序包和库
    D. 实体、结构体、程序包和库
    正确答案:——D——
3. 仿真是对电路设计的一种()检测方法。
    A. 直接的
    B. 间接的
    C. 同步的
    D. 异步的
    正确答案:——B——
4. 常用的硬件描述语言有
    A. VHDL、Verilog、c语言
    B. ABEL、c++
    C. VHDL、Verilog、ABEL
    D. 汇编语言、ABEL、VHDL
    正确答案:————
5. 在VHDL中,用语句()表示检测clock的上升沿。
    A. clock'EVENT
    B. clock'EVENTANDclock='1'
    C. Clok='0'
    D. clock'EVENTANDclock='0'
    正确答案:————
6. VHDL常用的库是
    A. IEEE
    B. STD
    C. WORK
    D. PACKAGE
    正确答案:————
7. 一般把EDA技术的发展分为CAD、CAE和()三个阶段。
    A. CAM
    B. EDA
    C. CASE
    D. CAN
    正确答案:————
8. 根据VHDL语法规则,下面哪个标识符是非法的标识符
    A. not—Ack
    B. constant
    C. FFT_1024_1
    D. state0
    正确答案:————
9. VHDL常用的库是()标准库。
    A. IEEE
    B. STD
    C. WORK
    D. PACKAGE
    正确答案:————
10. 下列关于变量的说法正确的是
    A. 变量是一个局部量,它只能在进程和子程序中使用。
    B. 变量的赋值不是立即发生的。
    C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量。
    D. 变量赋值的一般表达式为:目标变量名<=表达式。
    正确答案:————
11. Altera公司开发的开发软件为
    A. Foundation
    B. ispDesignEXPERT
    C. MaxplusⅡ
    D. ISE
    正确答案:————
12. 在VHDL语言编写的程序中,注释使用()符号。
    A. //
    B. --
    C. ;
    D. __
    正确答案:————
13. VHDL文本编辑中编译时出现如下的报错信息Error:VHDLsyntaxerror:signaldeclarationmusthave‘;’,butfoundbegininstead.其错误原因是()。
    A. 信号声明缺少分号。
    B. 错将设计文件存入了根目录,并将其设定成工程。
    C. 设计文件的文件名与实体名不一致。
    D. 程序中缺少关键词。
    正确答案:————
14. 在VHDL中,可以用()表示数据或地址总线的名称。
    A. 下标名
    B. 段名
    C. 总线名
    D. 字符串
    正确答案:————
15. VHDL的语言要素包括以下几类
    A. 数据对象、数据类型、操作数、操作符
    B. 数据对象、结构体、操作数、操作符
    C. 实体、数据类型、操作数、操作符
    D. 数据对象、配置、操作数、重载操作符
    正确答案:————
16. 一个完整结构的结构体由哪两个基本层次组出
    A. 数据说明和进程
    B. 结构体说明和结构体功能描述
    C. 顺序描述语句和并行执行语句
    D. 结构体例化和结构体赋值
    正确答案:————
17. 一个完整的VHDL程序,至少应包括三个基本组成部分是
    A. 实体、子程序、配置
    B. 实体、结构体、配置、函数
    C. 结构体、状态机、程序包和库
    D. 实体、结构体、程序包和库
    正确答案:————
18. 下列关于信号的说法正确的是
    A. 信号是一个局部量,它只能在进程和子程序中使用。
    B. 信号的赋值不是立即发生的。
    C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量。
    D. 信号赋值的一般表达式为:目标信号名:=表达式。
    正确答案:————
19. 请指出AlteraCyclone系列中的EP1C6Q240C8这个器件是属于()。
    A. ROM
    B. CPLD
    C. FPGA
    D. GAL
    正确答案:————
20. EDA设计流程包括()、设计输入、设计处理和器件编程四个步骤。
    A. 设计准备
    B. 总体设计
    C. 详细设计
    D. 设计数据
    正确答案:————
奥鹏作业答案

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|Archiver|手机版|小黑屋|www.openhelp100.com ( 冀ICP备19026749号-1 )

GMT+8, 2024-5-5 05:53

Powered by Discuz! X3.5

Copyright © 2001-2024 Tencent Cloud.

快速回复 返回顶部 返回列表